summaryrefslogtreecommitdiff
path: root/arch/arm/boot/dts/aspeed-bmc-intel-ast2600.dts
blob: 93171d6b3a1a69ed400de92eaf3609a7157b3019 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
// SPDX-License-Identifier: GPL-2.0+
/dts-v1/;

#include "aspeed-g6.dtsi"
#include <dt-bindings/gpio/aspeed-gpio.h>
#include <dt-bindings/i2c/i2c.h>

/ {
	model = "AST2600 EVB";
	compatible = "aspeed,ast2600";

	chosen {
		stdout-path = &uart5;
		bootargs = "console=ttyS4,115200n8 root=/dev/ram rw init=/linuxrc earlyprintk";
	};

	memory@80000000 {
		device_type = "memory";
		reg = <0x80000000 0x40000000>;
	};

	reserved-memory {
		#address-cells = <1>;
		#size-cells = <1>;
		ranges;

		gfx_memory: framebuffer {
			size = <0x01000000>;
			alignment = <0x01000000>;
			compatible = "shared-dma-pool";
			reusable;
		};

		video_engine_memory: jpegbuffer {
			size = <0x02000000>;	/* 32M */
			alignment = <0x01000000>;
			compatible = "shared-dma-pool";
			reusable;
		};
	};

	reserved-memory {
		#address-cells = <1>;
		#size-cells = <1>;
		ranges;
		video_memory: video {
			size = <0x04000000>;
			alignment = <0x01000000>;
			compatible = "shared-dma-pool";
			no-map;
		};
	};

	vga-shared-memory {
		compatible = "aspeed,ast2500-vga-sharedmem";
		reg = <0x9f700000 0x100000>;
	};

	iio-hwmon {
		compatible = "iio-hwmon";
		io-channels = <&adc0 0>, <&adc0 1>, <&adc0 2>, <&adc0 3>,
			<&adc0 4>, <&adc0 5>, <&adc0 6>, <&adc0 7>,
			<&adc1 0>, <&adc1 1>, <&adc1 2>, <&adc1 3>,
			<&adc1 4>, <&adc1 5>, <&adc1 6>, <&adc1 7>;
	};

	leds {
		compatible = "gpio-leds";

		identify {
			default-state = "off";
			gpios = <&gpio0 ASPEED_GPIO(B, 7) GPIO_ACTIVE_LOW>;
		};

		status_amber {
			default-state = "off";
			gpios = <&gpio0 ASPEED_GPIO(G, 3) GPIO_ACTIVE_LOW>;
		};

		status_green {
			default-state = "keep";
			gpios = <&gpio0 ASPEED_GPIO(G, 2) GPIO_ACTIVE_LOW>;
		};

		status_susack {
			default-state = "off";
			gpios = <&gpio0 ASPEED_GPIO(V, 6) GPIO_ACTIVE_LOW>;
		};

		fan1_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(C, 4) GPIO_ACTIVE_HIGH>;
		};

		fan2_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(C, 5) GPIO_ACTIVE_HIGH>;
		};

		fan3_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(C, 6) GPIO_ACTIVE_HIGH>;
		};

		fan4_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(C, 7) GPIO_ACTIVE_HIGH>;
		};

		fan5_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(D, 0) GPIO_ACTIVE_HIGH>;
		};

		fan6_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(D, 1) GPIO_ACTIVE_HIGH>;
		};

		fan7_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(D, 2) GPIO_ACTIVE_HIGH>;
		};

		fan8_fault {
			default-state = "off";
			gpios = <&sgpio ASPEED_GPIO(D, 3) GPIO_ACTIVE_HIGH>;
		};
	};

	beeper {
		compatible = "pwm-beeper";
		pwms = <&pwm_tacho 7 1000000 0>;
	};
};

&fmc {
	status = "okay";
	flash@0 {
		status = "okay";
		spi-max-frequency = <50000000>;
		spi-tx-bus-width = <4>;
		m25p,fast-read;
#include "openbmc-flash-layout-intel-64MB.dtsi"
	};
};

&espi {
	status = "okay";
};

&mctp {
	status = "okay";
};

&peci0 {
	status = "okay";
	gpios = <&gpio0 ASPEED_GPIO(F, 6) 0>;
};

&syscon {
	uart-clock-high-speed;
	status = "okay";

	misc_control {
		compatible = "aspeed,bmc-misc";
		chip_id {
			offset = <0x5b0>;
			bit-mask = <0xffffffff 0xffffffff>;
			bit-shift = <0>;
			read-only;
			reg-width = <64>;
			hash-data = "d44f9b804976fa23c2e25d62f16154d26520a7e24c5555095fd1b55c027804f1570dcd16189739c640cd7d9a6ce14944a2c4eaf1dc429eed6940e8a83498a474";
		};
	};
};

#if 0
 GPIO Alias: (runtime alias -> schematic name)
	ID_BUTTON -> FP_ID_BTN_N
	CPU_CATERR -> FM_PLT_BMC_THERMTRIP_N
	PCH_BMC_THERMTRIP -> FM_PLT_BMC_THERMTRIP_N
	RESET_BUTTON -> FP_BMC_RST_BTN_N
	RESET_OUT -> RST_BMC_RSTBTN_OUT_R_N
	POWER_BUTTON -> FP_BMC_PWR_BTN_R_N
	POWER_OUT -> FM_BMC_PWR_BTN_N
	PREQ_N -> DBP_ASD_BMC_PREQ_R_N
	POST_COMPLETE -> FM_BIOS_POST_CMPLT_BMC_N
	CPU_ERR0 -> FM_CPU_ERR0_LVT3_N
	CPU_ERR1 -> FM_CPU_ERR1_LVT3_N
	CPU_ERR2 -> FM_CPU_ERR2_LVT3_N
	DEBUG_EN_N -> FM_JTAG_TCK_MUX_SEL_R
	NMI_OUT -> IRQ_BMC_CPU_NMI_R
	PLTRST_N -> RST_PLTRST_BMC_N
	PRDY_N -> DBP_ASD_BMC_PRDY_R_N
	PWR_DEBUG_N ->
	XDP_PRST_N ->
	SYSPWROK ->
	RSMRST_N ->
	SIO_S3 -> FM_SLPS3_R_N
	SIO_S5 -> FM_SLPS4_R_N
	SIO_ONCONTROL -> FM_BMC_ONCTL_R_N
	SIO_POWER_GOOD -> PWRGD_CPU0_LVC3_R
	PS_PWROK -> PWRGD_BMC_PS_PWROK_R
	P3VBAT_BRIDGE_EN ->
	TCK_MUX_SEL ->
	SMI -> IRQ_SMI_ACTIVE_BMC_N
	NMI_BUTTON -> FP_NMI_BTN_N
#endif
&gpio0 {
	status = "okay";
	/* Enable GPIOP0 and GPIOP2 pass-through by default */
	/* pinctrl-names = "pass-through";
	pinctrl-0 = <&pinctrl_thru0_default
			&pinctrl_thru1_default>; */
	gpio-line-names =
	/*A0-A7*/	"","","","","SMB_CPU_PIROM_SCL","SMB_CPU_PIROM_SDA","SMB_IPMB_STBY_LVC3_R_SCL","SMB_IPMB_STBY_LVC3_R_SDA",
	/*B0-B7*/	"FM_1200VA_OC","NMI_OUT","IRQ_SMB3_M2_ALERT_N","","RGMII_BMC_RMM4_LVC3_R_MDC","RGMII_BMC_RMM4_LVC3_R_MDIO","FM_BMC_BMCINIT_R","FP_ID_LED_N",
	/*C0-C7*/	"FM_FORCE_BMC_UPDATE_N","RST_RGMII_PHYRST_N","FM_TPM_EN_PULSE","FM_BMC_CRASHLOG_TRIG_N","IRQ_BMC_PCH_NMI_R","FM_CPU1_DISABLE_COD_N","FM_4S_8S_N_MODE","FM_STANDALONE_MODE_N",
	/*D0-D7*/	"CPU_ERR0","CPU_ERR1","CPU_ERR2","PRDY_N","FM_SPD_SWITCH_CTRL_N","","","",
	/*E0-E7*/	"FM_SKT1_FAULT_LED","FM_SKT0_FAULT_LED","CLK_50M_CKMNG_BMCB","FM_BMC_BOARD_REV_ID2_N","","","","",
	/*F0-F7*/	"FM_BMC_BOARD_SKU_ID0_N","FM_BMC_BOARD_SKU_ID1_N","FM_BMC_BOARD_SKU_ID2_N","FM_BMC_BOARD_SKU_ID3_N","FM_BMC_BOARD_SKU_ID4_N","FM_BMC_BOARD_SKU_ID5_N","ID_BUTTON","PS_PWROK",
	/*G0-G7*/	"FM_SMB_BMC_NVME_LVC3_ALERT_N","RST_BMC_I2C_M2_R_N","FP_LED_STATUS_GREEN_N","FP_LED_STATUS_AMBER_N","FM_BMC_BOARD_REV_ID0_N","FM_BMC_BOARD_REV_ID1_N","FM_BMC_CPU_FBRK_OUT_R_N","DBP_PRESENT_IN_R2_N",
	/*H0-H7*/	"SGPIO_BMC_CLK_R","SGPIO_BMC_LD_R","SGPIO_BMC_DOUT_R","SGPIO_BMC_DIN","PLTRST_N","CPU_CATERR","PCH_BMC_THERMTRIP","",
	/*I0-I7*/	"JTAG_ASD_NTRST_R_N","JTAG_ASD_TDI_R","JTAG_ASD_TCK_R","JTAG_ASD_TMS_R","JTAG_ASD_TDO","FM_BMC_PWRBTN_OUT_R_N","FM_BMC_PWR_BTN_N","",
	/*J0-J7*/	"SMB_CHASSENSOR_STBY_LVC3_SCL","SMB_CHASSENSOR_STBY_LVC3_SDA","FM_NODE_ID0","FM_NODE_ID1","","","","",
	/*K0-K7*/	"SMB_HSBP_STBY_LVC3_R_SCL","SMB_HSBP_STBY_LVC3_R_SDA","SMB_SMLINK0_STBY_LVC3_R2_SCL","SMB_SMLINK0_STBY_LVC3_R2_SDA","SMB_TEMPSENSOR_STBY_LVC3_R_SCL","SMB_TEMPSENSOR_STBY_LVC3_R_SDA","SMB_PMBUS_SML1_STBY_LVC3_R_SCL","SMB_PMBUS_SML1_STBY_LVC3_R_SDA",
	/*L0-L7*/	"SMB_PCIE_STBY_LVC3_R_SCL","SMB_PCIE_STBY_LVC3_R_SDA","SMB_HOST_STBY_BMC_LVC3_R_SCL","SMB_HOST_STBY_BMC_LVC3_R_SDA","PREQ_N","TCK_MUX_SEL","V_BMC_GFX_HSYNC_R","V_BMC_GFX_VSYNC_R",
	/*M0-M7*/	"SPA_CTS_N","SPA_DCD_N","SPA_DSR_N","PU_SPA_RI_N","SPA_DTR_N","SPA_RTS_N","SPA_SOUT","SPA_SIN",
	/*N0-N7*/	"SPB_CTS_N","SPB_DCD_N","SPB_DSR_N","PU_SPB_RI_N","SPB_DTR_N","SPB_RTS_N","SPB_SOUT","SPB_SIN",
	/*O0-O7*/	"FAN_BMC_PWM0","FAN_BMC_PWM1","FAN_BMC_PWM2","FAN_BMC_PWM3","FAN_BMC_PWM4","FAN_BMC_PWM5","NMI_BUTTON","SPEAKER_BMC_R",
	/*P0-P7*/	"RESET_BUTTON","RESET_OUT","POWER_BUTTON","POWER_OUT","FAN_BMC_PWM6","FAN_BMC_PWM7","FAN_BMC_PWM8","FAN_BMC_PWM9",
	/*Q0-Q7*/	"FAN_BMC_TACH0","FAN_BMC_TACH1","FAN_BMC_TACH2","FAN_BMC_TACH3","FAN_BMC_TACH4","FAN_BMC_TACH5","FAN_BMC_TACH6","FAN_BMC_TACH7",
	/*R0-R7*/	"FAN_BMC_TACH8","FAN_BMC_TACH9","","","","","","",
	/*S0-S7*/	"RST_BMC_PCIE_MUX_N","FM_BMC_EUP_LOT6_N","","","","A_P3V_BAT_SCALED_EN","REMOTE_DEBUG_ENABLE","FM_PCHHOT_N",
	/*T0-T7*/	"A_P12V_PSU_SCALED","A_P12V_AUX_SCALED","A_P3V3_SCALED","A_P5V_SCALED","A_PVNN_PCH_AUX_SENSOR","A_P1V05_PCH_AUX_SENSOR","A_P1V8_AUX_SENSOR","A_P3V_BAT_SCALED",
	/*U0-U7*/	"A_PVCCIN_CPU0_SENSOR","A_PVCCIN_CPU1_SENSOR","A_PVCCINFAON_CPU0_SENSOR","A_PVCCINFAON_CPU1_SENSOR","A_PVCCFA_EHV_FIVRA_CPU0_SENSOR","A_PVCCFA_EHV_FIVRA_CPU1_SENSOR","A_PVCCD_HV_CPU0_SENSOR","A_PVCCD_HV_CPU1_SENSOR",
	/*V0-V7*/	"SIO_S3","SIO_S5","TP_BMC_SIO_PWREQ_N","SIO_ONCONTROL","SIO_POWER_GOOD","LED_BMC_HB_LED_N","FM_BMC_SUSACK_N","",
	/*W0-W7*/	"LPC_LAD0_ESPI_R_IO0","LPC_LAD1_ESPI_R_IO1","LPC_LAD2_ESPI_R_IO2","LPC_LAD3_ESPI_R_IO3","CLK_24M_66M_LPC0_ESPI_BMC","LPC_LFRAME_N_ESPI_CS0_BMC_N","IRQ_LPC_SERIRQ_ESPI_ALERT_N","RST_LPC_LRST_ESPI_RST_BMC_R_N",
	/*X0-X7*/	"","SMI","POST_COMPLETE","","","","","",
	/*Y0-Y7*/	"","IRQ_SML0_ALERT_BMC_R2_N","","IRQ_SML1_PMBUS_BMC_ALERT_N","SPI_BMC_BOOT_R_IO2","SPI_BMC_BOOT_R_IO3","PU_SPI_BMC_BOOT_ABR","PU_SPI_BMC_BOOT_WP_N",
	/*Z0-Z7*/	"PWRGD_P3V3_RISER1","PWRGD_P3V3_RISER2","","HW_STRAP_5","HW_STRAP_6","HW_STRAP_7","HW_STRAP_2","HW_STRAP_3";
};

&gpio1 {
	status = "okay";
	gpio-line-names = /* GPIO18 A-E */
	/*A0-A7*/	"","","RST_EMMC_BMC_R_N","FM_SYS_FAN6_PRSNT_D_N","FM_SYS_FAN0_PRSNT_D_N","FM_SYS_FAN1_PRSNT_D_N","FM_SYS_FAN2_PRSNT_D_N","FM_SYS_FAN3_PRSNT_D_N",
	/*B0-B7*/	"FM_SYS_FAN4_PRSNT_D_N","FM_SYS_FAN5_PRSNT_D_N","","FM_SYS_FAN7_PRSNT_D_N","RGMII_BMC_RMM4_TX_R_CLK","RGMII_BMC_RMM4_TX_R_CTRL","RGMII_BMC_RMM4_R_TXD0","RGMII_BMC_RMM4_R_TXD1",
	/*C0-C7*/	"RGMII_BMC_RMM4_R_TXD2","RGMII_BMC_RMM4_R_TXD3","RGMII_BMC_RMM4_RX_CLK","RGMII_BMC_RMM4_RX_CTRL","RGMII_BMC_RMM4_RXD0","RGMII_BMC_RMM4_RXD1","RGMII_BMC_RMM4_RXD2","RGMII_BMC_RMM4_RXD3",
	/*D0-D7*/	"EMMC_BMC_R_CLK","EMMC_BMC_R_CMD","EMMC_BMC_R_DATA0","EMMC_BMC_R_DATA1","EMMC_BMC_R_DATA2","EMMC_BMC_R_DATA3","EMMC_BMC_CD_N","EMMC_BMC_WP_N",
	/*E0-E3*/	"EMMC_BMC_R_DATA4","EMMC_BMC_R_DATA5","EMMC_BMC_R_DATA6","EMMC_BMC_R_DATA7";
};

&sgpio {
	status = "okay";
	gpio-line-names =
	/* SGPIO output lines */
	/*OA0-OA7*/	"","","","","","","","",
	/*OB0-OB7*/	"LED_CPU1_CH1_DIMM1_FAULT","LED_CPU1_CH1_DIMM2_FAULT","LED_CPU1_CH2_DIMM1_FAULT","LED_CPU1_CH2_DIMM2_FAULT","LED_CPU1_CH3_DIMM1_FAULT","LED_CPU1_CH3_DIMM2_FAULT","LED_CPU1_CH4_DIMM1_FAULT","LED_CPU1_CH4_DIMM2_FAULT",
	/*OC0-OC7*/	"LED_CPU1_CH5_DIMM1_FAULT","LED_CPU1_CH5_DIMM2_FAULT","LED_CPU1_CH6_DIMM1_FAULT","LED_CPU1_CH6_DIMM2_FAULT","LED_FAN1_FAULT","LED_FAN2_FAULT","LED_FAN3_FAULT","LED_FAN4_FAULT",
	/*OD0-OD7*/	"LED_FAN5_FAULT","LED_FAN6_FAULT","LED_FAN7_FAULT","LED_FAN8_FAULT","LED_CPU2_CH1_DIMM1_FAULT","LED_CPU1_CH1_DIMM2_FAULT","LED_CPU2_CH2_DIMM1_FAULT","LED_CPU2_CH2_DIMM2_FAULT",
	/*OE0-OE7*/	"LED_CPU2_CH3_DIMM1_FAULT","LED_CPU2_CH3_DIMM2_FAULT","LED_CPU2_CH4_DIMM1_FAULT","LED_CPU2_CH4_DIMM2_FAULT","LED_CPU2_CH5_DIMM1_FAULT","LED_CPU2_CH5_DIMM2_FAULT","LED_CPU2_CH6_DIMM1_FAULT","LED_CPU2_CH6_DIMM2_FAULT",
	/*OF0-OF7*/	"","","","","","","","",
	/*OG0-OG7*/	"","","","","","","","",
	/*OH0-OH7*/	"","","","","","","","",
	/*OI0-OI7*/	"LED_CPU1_CH7_DIMM1_FAULT","LED_CPU1_CH7_DIMM2_FAULT","LED_CPU1_CH8_DIMM1_FAULT","LED_CPU1_CH8_DIMM2_FAULT","LED_CPU2_CH7_DIMM1_FAULT","LED_CPU2_CH7_DIMM2_FAULT","LED_CPU2_CH8_DIMM1_FAULT","LED_CPU2_CH8_DIMM2_FAULT",
	/*OJ0-OJ7*/	"","","","","","","","",
	/*DUMMY*/	"","","","","","","","",
	/*DUMMY*/	"","","","","","","","",

	/* SGPIO input lines */
/* SGPIO Alias: (runtime alias -> net name)
	CPU1_PRESENCE -> FM_CPU0_SKTOCC_LVT3_N
	CPU1_THERMTRIP -> H_CPU0_THERMTRIP_LVC1_N
	CPU1_VRHOT -> IRQ_CPU0_VRHOT_N
	CPU1_FIVR_FAULT -> H_CPU0_MON_FAIL_LVC1_N
	CPU1_MEM_VRHOT -> IRQ_CPU0_MEM_VRHOT_N
	CPU1_MEM_THERM_EVENT -> H_CPU0_MEMHOT_OUT_LVC1_N
	CPU1_MISMATCH -> FM_CPU0_MISMATCH
	CPU2_PRESENCE -> FM_CPU1_SKTOCC_LVT3_N
	CPU2_THERMTRIP -> H_CPU1_THERMTRIP_LVC1_N
	CPU2_VRHOT -> IRQ_CPU1_VRHOT_N
	CPU2_FIVR_FAULT -> H_CPU1_MON_FAIL_LVC1_N
	CPU2_MEM_VRHOT -> IRQ_CPU1_MEM_VRHOT_N
	CPU2_MEM_THERM_EVENT -> H_CPU1_MEMHOT_OUT_LVC1_N
	CPU2_MISMATCH -> FM_CPU1_MISMATCH
*/
	/*IA0-IA7*/	"CPU1_PRESENCE","CPU1_THERMTRIP","CPU1_VRHOT","CPU1_FIVR_FAULT","CPU1_MEM_VRHOT","CPU1_MEM_THERM_EVENT","FM_CPU0_PROC_ID0","FM_CPU0_PROC_ID1",
	/*IB0-IB7*/	"CPU1_MISMATCH","","CPU2_PRESENCE","CPU2_THERMTRIP","CPU2_VRHOT","CPU2_FIVR_FAULT","CPU2_MEM_VRHOT","CPU2_MEM_THERM_EVENT",
	/*IC0-IC7*/	"FM_CPU1_PROC_ID0","FM_CPU1_PROC_ID1","CPU2_MISMATCH","","","","","",
	/*ID0-ID7*/	"","","","","","","","",
	/*IE0-IE7*/	"","","","","","","","",
	/*IF0-IF7*/	"CPU1_CPLD_CRC_ERROR","CPU2_CPLD_CRC_ERROR","","","","","","",
	/*IG0-IG7*/	"MAIN_PLD_MINOR_REV_BIT0","MAIN_PLD_MINOR_REV_BIT1","MAIN_PLD_MINOR_REV_BIT2","MAIN_PLD_MINOR_REV_BIT3","MAIN_PLD_MAJOR_REV_BIT0","MAIN_PLD_MAJOR_REV_BIT1","MAIN_PLD_MAJOR_REV_BIT2","MAIN_PLD_MAJOR_REV_BIT3",
	/*IH0-IH7*/	"","WMEMX_PWR_FLT","WCPUX_MEM_PWR_FLT","PWRGD_P3V3_FF","WPSU_PWR_FLT","","","WPCH_PWR_FLT",
	/*II0-II7*/	"FM_CPU0_PKGID0","FM_CPU0_PKGID1","FM_CPU0_PKGID2","H_CPU0_MEMTRIP_LVC1_N","FM_CPU1_PKGID0","FM_CPU1_PKGID1","FM_CPU1_PKGID2","H_CPU1_MEMTRIP_LVC1_N",
	/*IJ0-IJ7*/	"","","","","","","","";
};

&kcs3 {
	aspeed,lpc-io-reg = <0xCA2>;
	status = "okay";
};

&kcs4 {
	aspeed,lpc-io-reg = <0xCA4>;
	status = "okay";
};

&sio_regs {
	status = "okay";
	sio_status {
		offset = <0x8C>;
		bit-mask = <0x1F>;
		bit-shift = <4>;
	};
};

&lpc_sio {
	status = "okay";
};

&lpc_snoop {
	snoop-ports = <0x80>;
	status = "okay";
};

&mbox {
	status = "okay";
};

&mdio1 {
	status = "okay";

	ethphy1: ethernet-phy@0 {
		compatible = "ethernet-phy-ieee802.3-c22";
		reg = <0>;
	};
};

&mac1 {
	status = "okay";
	pinctrl-names = "default";
	pinctrl-0 = <&pinctrl_rgmii2_default>;
	clocks = <&syscon ASPEED_CLK_GATE_MAC2CLK>,
		 <&syscon ASPEED_CLK_MAC2RCLK>;
	clock-names = "MACCLK", "RCLK";
	phy-mode = "rgmii";
	phy-handle = <&ethphy1>;
};

&mdio2 {
	status = "okay";

	ethphy2: ethernet-phy@1 {
		compatible = "ethernet-phy-ieee802.3-c22";
		reg = <0>;
	};
};

&adc0 {
	status = "okay";
};

&adc1 {
	status = "okay";
};

&uart1 {
	status = "okay";
	pinctrl-0 = <&pinctrl_txd1_default
		     &pinctrl_rxd1_default
		     &pinctrl_nrts1_default
		     &pinctrl_ndtr1_default
		     &pinctrl_ndsr1_default
		     &pinctrl_ncts1_default
		     &pinctrl_ndcd1_default
		     &pinctrl_nri1_default>;
};

&uart2 {
	status = "okay";
	pinctrl-0 = <&pinctrl_txd2_default
		     &pinctrl_rxd2_default
		     &pinctrl_nrts2_default
		     &pinctrl_ndtr2_default
		     &pinctrl_ndsr2_default
		     &pinctrl_ncts2_default
		     &pinctrl_ndcd2_default
		     &pinctrl_nri2_default>;
};

&uart3 {
	status = "okay";
	pinctrl-0 = <>;
};

&uart4 {
	status = "okay";
	pinctrl-0 = <>;
};

&uart5 {
	status = "okay";
	// Workaround for A0
	compatible = "snps,dw-apb-uart";
};

&uart_routing {
	status = "okay";
};

&emmc_controller{
	status = "okay";
};

&emmc {
	non-removable;
	bus-width = <4>;
	max-frequency = <52000000>;
};

&i2c0 {
	/* SMB_CHASSENSOR_STBY_LVC3 */
	multi-master;
	status = "okay";
};

&i2c4 {
	/* SMB_HSBP_STBY_LVC3_R */
	multi-master;
	status = "okay";
};

&i2c5 {
	/* SMB_SMLINK0_STBY_LVC3_R2 */
	bus-frequency = <1000000>;
	multi-master;
	status = "okay";
};

&i2c6 {
	/* SMB_TEMPSENSOR_STBY_LVC3_R */
	multi-master;
	status = "okay";
};

&i2c7 {
	/* SMB_PMBUS_SML1_STBY_LVC3_R */
	multi-master;
	#retries = <3>;
	status = "okay";
};

&i2c8 {
	/* SMB_PCIE_STBY_LVC3_R */
	multi-master;
	status = "okay";
};

&i2c9 {
	/* SMB_HOST_STBY_BMC_LVC3_R */
	multi-master;
	status = "okay";
};

&i2c12 {
	/* SMB_CPU_PIROM */
	multi-master;
	status = "okay";
};

&i2c13 {
	/* SMB_IPMB_STBY_LVC3_R */
	multi-master;
	status = "okay";
};

&i3cglobal {
	status = "okay";
};

&i3c0 {
	/* I3C_SPD_DDRABCD_CPU0_BMC */
	status = "okay";
	jdec-spd;

	/* Renesas SPD5118 */
	spd5118_0_0: spd@50,3C000000000 {
		reg = <0x50 0x3C0 0x00000000>;
		assigned-address = <0x50>;
	};

	/* Renesas SPD5118 */
	spd5118_0_1: spd@51,3C000000001 {
		reg = <0x51 0x3C0 0x00000001>;
		assigned-address = <0x51>;
	};

	/* Renesas SPD5118 */
	spd5118_0_2: spd@52,3C000000002 {
		reg = <0x52 0x3C0 0x00000002>;
		assigned-address = <0x52>;
	};

	/* Renesas SPD5118 */
	spd5118_0_3: spd@53,3C000000003 {
		reg = <0x53 0x3C0 0x00000003>;
		assigned-address = <0x53>;
	};

	/* Renesas SPD5118 */
	spd5118_0_4: spd@54,3C000000004 {
		reg = <0x54 0x3C0 0x00000004>;
		assigned-address = <0x54>;
	};

	/* Renesas SPD5118 */
	spd5118_0_5: spd@55,3C000000005 {
		reg = <0x55 0x3C0 0x00000005>;
		assigned-address = <0x55>;
	};

	/* Renesas SPD5118 */
	spd5118_0_6: spd@56,3C000000006 {
		reg = <0x56 0x3C0 0x00000006>;
		assigned-address = <0x56>;
	};

	/* Renesas SPD5118 */
	spd5118_0_7: spd@57,3C000000007 {
		reg = <0x57 0x3C0 0x00000007>;
		assigned-address = <0x57>;
	};
};

&i3c1 {
	/* I3C_SPD_DDREFGH_CPU0_BMC */
	status = "okay";
	jdec-spd;

	/* Renesas SPD5118 */
	spd5118_1_0: spd@50,3C000000000 {
		reg = <0x50 0x3C0 0x00000000>;
		assigned-address = <0x50>;
	};

	/* Renesas SPD5118 */
	spd5118_1_1: spd@51,3C000000001 {
		reg = <0x51 0x3C0 0x00000001>;
		assigned-address = <0x51>;
	};

	/* Renesas SPD5118 */
	spd5118_1_2: spd@52,3C000000002 {
		reg = <0x52 0x3C0 0x00000002>;
		assigned-address = <0x52>;
	};

	/* Renesas SPD5118 */
	spd5118_1_3: spd@53,3C000000003 {
		reg = <0x53 0x3C0 0x00000003>;
		assigned-address = <0x53>;
	};

	/* Renesas SPD5118 */
	spd5118_1_4: spd@54,3C000000004 {
		reg = <0x54 0x3C0 0x00000004>;
		assigned-address = <0x54>;
	};

	/* Renesas SPD5118 */
	spd5118_1_5: spd@55,3C000000005 {
		reg = <0x55 0x3C0 0x00000005>;
		assigned-address = <0x55>;
	};

	/* Renesas SPD5118 */
	spd5118_1_6: spd@56,3C000000006 {
		reg = <0x56 0x3C0 0x00000006>;
		assigned-address = <0x56>;
	};

	/* Renesas SPD5118 */
	spd5118_1_7: spd@57,3C000000007 {
		reg = <0x57 0x3C0 0x00000007>;
		assigned-address = <0x57>;
	};
};

&i3c2 {
	/* I3C_SPD_DDRABCD_CPU1_BMC */
	status = "okay";
	jdec-spd;

	/* Renesas SPD5118 */
	spd5118_2_0: spd@50,3C000000000 {
		reg = <0x50 0x3C0 0x00000000>;
		assigned-address = <0x50>;
	};

	/* Renesas SPD5118 */
	spd5118_2_1: spd@51,3C000000001 {
		reg = <0x51 0x3C0 0x00000001>;
		assigned-address = <0x51>;
	};

	/* Renesas SPD5118 */
	spd5118_2_2: spd@52,3C000000002 {
		reg = <0x52 0x3C0 0x00000002>;
		assigned-address = <0x52>;
	};

	/* Renesas SPD5118 */
	spd5118_2_3: spd@53,3C000000003 {
		reg = <0x53 0x3C0 0x00000003>;
		assigned-address = <0x53>;
	};

	/* Renesas SPD5118 */
	spd5118_2_4: spd@54,3C000000004 {
		reg = <0x54 0x3C0 0x00000004>;
		assigned-address = <0x54>;
	};

	/* Renesas SPD5118 */
	spd5118_2_5: spd@55,3C000000005 {
		reg = <0x55 0x3C0 0x00000005>;
		assigned-address = <0x55>;
	};

	/* Renesas SPD5118 */
	spd5118_2_6: spd@56,3C000000006 {
		reg = <0x56 0x3C0 0x00000006>;
		assigned-address = <0x56>;
	};

	/* Renesas SPD5118 */
	spd5118_2_7: spd@57,3C000000007 {
		reg = <0x57 0x3C0 0x00000007>;
		assigned-address = <0x57>;
	};
};

&i3c3 {
	/* I3C_SPD_DDREFGH_CPU1_BMC */
	status = "okay";
	jdec-spd;

	/* Renesas SPD5118 */
	spd5118_3_0: spd@50,3C000000000 {
		reg = <0x50 0x3C0 0x00000000>;
		assigned-address = <0x50>;
	};

	/* Renesas SPD5118 */
	spd5118_3_1: spd@51,3C000000001 {
		reg = <0x51 0x3C0 0x00000001>;
		assigned-address = <0x51>;
	};

	/* Renesas SPD5118 */
	spd5118_3_2: spd@52,3C000000002 {
		reg = <0x52 0x3C0 0x00000002>;
		assigned-address = <0x52>;
	};

	/* Renesas SPD5118 */
	spd5118_3_3: spd@53,3C000000003 {
		reg = <0x53 0x3C0 0x00000003>;
		assigned-address = <0x53>;
	};

	/* Renesas SPD5118 */
	spd5118_3_4: spd@54,3C000000004 {
		reg = <0x54 0x3C0 0x00000004>;
		assigned-address = <0x54>;
	};

	/* Renesas SPD5118 */
	spd5118_3_5: spd@55,3C000000005 {
		reg = <0x55 0x3C0 0x00000005>;
		assigned-address = <0x55>;
	};

	/* Renesas SPD5118 */
	spd5118_3_6: spd@56,3C000000006 {
		reg = <0x56 0x3C0 0x00000006>;
		assigned-address = <0x56>;
	};

	/* Renesas SPD5118 */
	spd5118_3_7: spd@57,3C000000007 {
		reg = <0x57 0x3C0 0x00000007>;
		assigned-address = <0x57>;
	};
};

&gfx {
	status = "okay";
	memory-region = <&gfx_memory>;
};

&pcieh {
	status = "okay";
};

&pwm_tacho {
	status = "okay";
	#pwm-cells = <3>;
	aspeed,pwm-outputs = <7>;
	pinctrl-names = "default";
	pinctrl-0 = <&pinctrl_pwm0_default &pinctrl_tach0_default
			&pinctrl_pwm1_default &pinctrl_tach1_default
			&pinctrl_pwm2_default &pinctrl_tach2_default
			&pinctrl_pwm3_default &pinctrl_tach3_default
			&pinctrl_pwm4_default &pinctrl_tach4_default
			&pinctrl_pwm5_default &pinctrl_tach5_default
			&pinctrl_pwm12g1_default &pinctrl_tach6_default
			&pinctrl_pwm13g1_default &pinctrl_tach7_default
			&pinctrl_pwm14g1_default &pinctrl_tach8_default
			&pinctrl_pwm15g1_default &pinctrl_tach9_default
			&pinctrl_pwm7_default>;

	fan@0 {
		reg = <0x00>;
		aspeed,fan-tach-ch = /bits/ 8 <0x00>;
	};
	fan@1 {
		reg = <0x01>;
		aspeed,fan-tach-ch = /bits/ 8 <0x01>;
	};
	fan@2 {
		reg = <0x02>;
		aspeed,fan-tach-ch = /bits/ 8 <0x02>;
	};
	fan@3 {
		reg = <0x03>;
		aspeed,fan-tach-ch = /bits/ 8 <0x03>;
	};
	fan@4 {
		reg = <0x04>;
		aspeed,fan-tach-ch = /bits/ 8 <0x04>;
	};
	fan@5 {
		reg = <0x05>;
		aspeed,fan-tach-ch = /bits/ 8 <0x05>;
	};
	fan@6 {
		reg = <0x0c>;
		aspeed,fan-tach-ch = /bits/ 8 <0x06>;
	};
	fan@7 {
		reg = <0x0d>;
		aspeed,fan-tach-ch = /bits/ 8 <0x07>;
	};
	fan@8 {
		reg = <0x0e>;
		aspeed,fan-tach-ch = /bits/ 8 <0x08>;
	};
	fan@9 {
		reg = <0x0f>;
		aspeed,fan-tach-ch = /bits/ 8 <0x09>;
	};
};

&video {
	status = "okay";
	memory-region = <&video_engine_memory>;
};

&vhub {
	status = "okay";
};

&jtag1 {
	status = "okay";
};

&wdt2 {
	status = "okay";
};