summaryrefslogtreecommitdiff
path: root/drivers/net/vxge/vxge-main.h
diff options
context:
space:
mode:
authorJon Mason <jon.mason@exar.com>2010-11-11 07:25:54 +0300
committerDavid S. Miller <davem@davemloft.net>2010-11-11 20:30:19 +0300
commit4d2a5b406c02b224bd3f50992c8b02450c65a730 (patch)
tree624d968e9ae73e1ff14dcfbc4a26e0fbbe069124 /drivers/net/vxge/vxge-main.h
parent47f01db44b2470d9517848f6b73c75883ef5fda0 (diff)
downloadlinux-4d2a5b406c02b224bd3f50992c8b02450c65a730.tar.xz
vxge: Wait for Rx to become idle before reseting or closing
Wait for the receive traffic to become idle before attempting to close or reset the adapter. To enable the processing of packets while Receive Idle, move the clearing of __VXGE_STATE_CARD_UP bit in vxge_close to after it. Also, modify the return value of the ISR when the adapter is down to IRQ_HANDLED. Otherwise there are unhandled interrupts for the device. Signed-off-by: Jon Mason <jon.mason@exar.com> Signed-off-by: Ram Vepa <ram.vepa@exar.com> Signed-off-by: David S. Miller <davem@davemloft.net>
Diffstat (limited to 'drivers/net/vxge/vxge-main.h')
-rw-r--r--drivers/net/vxge/vxge-main.h2
1 files changed, 2 insertions, 0 deletions
diff --git a/drivers/net/vxge/vxge-main.h b/drivers/net/vxge/vxge-main.h
index a4f6d864fc8e..54989d07af34 100644
--- a/drivers/net/vxge/vxge-main.h
+++ b/drivers/net/vxge/vxge-main.h
@@ -397,6 +397,8 @@ struct vxge_tx_priv {
} while (0);
extern void vxge_initialize_ethtool_ops(struct net_device *ndev);
+enum vxge_hw_status vxge_reset_all_vpaths(struct vxgedev *vdev);
+
/**
* #define VXGE_DEBUG_INIT: debug for initialization functions
* #define VXGE_DEBUG_TX : debug transmit related functions