summaryrefslogtreecommitdiff
path: root/drivers/gpu/drm/i915/display
AgeCommit message (Expand)AuthorFilesLines
2023-04-19drm/i915/dp_mst: Fix active port PLL selection for secondary MST streamsImre Deak3-7/+30
2023-04-17drm/i915: Make intel_get_crtc_new_encoder() less oopsyVille Syrjälä1-1/+1
2023-04-06Merge tag 'drm-intel-next-2023-04-06' of git://anongit.freedesktop.org/drm/dr...Daniel Vetter46-695/+2878
2023-04-06drm/i915/tc: demote a kernel-doc comment to a regular commentJani Nikula1-4/+1
2023-04-06drm/scdc-helper: Pimp SCDC debugsVille Syrjälä2-8/+4
2023-04-05drm/i915: rename intel_pm.[ch] to intel_clock_gating.[ch]Jani Nikula1-2/+2
2023-04-05drm/i915: Implement UHBR bandwidth checkStanislav Lisovskiy1-4/+29
2023-04-04drm/i915/psr: split out PSR regs to a separate fileJani Nikula3-0/+262
2023-04-04drm/i915/wm: split out SKL+ watermark regs to a separate fileJani Nikula3-0/+162
2023-04-04drm/i915: Explain the magic numbers for AUX SYNC/precharge lengthVille Syrjälä1-3/+29
2023-04-04drm/i915: Fix fast wake AUX sync lenVille Syrjälä1-1/+1
2023-04-03drm/i915/display/intel_wm: Fix a little doc-rot in intel_update_watermarks()Lee Jones1-1/+1
2023-04-03drm/i915/display/intel_display_power: Fix incorrectly documented function __i...Lee Jones1-1/+1
2023-04-03drm/i915/display/intel_display_debugfs: Fix incorrect param naming for 'intel...Lee Jones1-1/+1
2023-04-03drm/i915: Remove the encoder update_prepare()/complete() hooksImre Deak3-121/+12
2023-04-03drm/i915: Remove TC PHY disconnect workaroundImre Deak1-8/+0
2023-04-03drm/i915: Disable DPLLs before disconnecting the TC PHYImre Deak3-3/+29
2023-04-03drm/i915: Move shared DPLL disabling into CRTC disable hookImre Deak2-2/+4
2023-04-03drm/i915/adlp/tc: Align the connect/disconnect PHY sequence with bspecImre Deak1-17/+94
2023-04-03drm/i915/tc: Don't connect the PHY in intel_tc_port_connected()Imre Deak1-3/+8
2023-04-03drm/i915/tc: Get power ref for reading the HPD live status registerImre Deak1-8/+19
2023-04-03drm/i915/adlp/tc: Use the DE HPD ISR register for hotplug detectionImre Deak1-12/+9
2023-04-03drm/i915/tc: Add TC PHY hook to init the PHYImre Deak1-40/+56
2023-04-03drm/i915/tc: Add asserts in TC PHY hooks that the required power is onImre Deak1-0/+61
2023-04-03drm/i915/tc: Add TC PHY hook to get the TC-cold blocking power domainImre Deak1-14/+59
2023-04-03drm/i915/tc: Drop tc_cold_block()/unblock()'s power domain parameterImre Deak1-24/+37
2023-04-03drm/i915/tc: Remove redundant wakeref=0 check from unblock_tc_cold()Imre Deak1-8/+0
2023-04-03drm/i915/tc: Block/unblock TC-cold in the PHY connect/disconnect hooksImre Deak1-30/+13
2023-04-03drm/i915/tc: Check TC mode instead of the VBT legacy flagImre Deak1-8/+7
2023-04-03drm/i915/tc: Fix up the legacy VBT flag only in disconnected modeImre Deak1-3/+10
2023-04-03drm/i915/tc: Add TC PHY hooks to connect/disconnect the PHYImre Deak1-3/+9
2023-04-03drm/i915/tc: Factor out tc_phy_verify_legacy_or_dp_alt_mode()Imre Deak1-18/+29
2023-04-03drm/i915/tc: Add generic TC PHY connect/disconnect handlersImre Deak1-26/+39
2023-04-03drm/i915/tc: Add TC PHY hook to read out the PHY HW stateImre Deak1-10/+24
2023-04-03drm/i915/tc: Add TC PHY hooks to get the PHY ready/owned stateImre Deak1-12/+8
2023-04-03drm/i915/tc: Add TC PHY hook to get the PHY HPD live statusImre Deak1-11/+29
2023-04-03drm/i915/tc: Move the intel_tc_port struct declaration to intel_tc.cImre Deak4-37/+49
2023-04-03drm/i915/tc: Check for TC PHY explicitly in intel_tc_port_fia_max_lane_count()Imre Deak1-1/+2
2023-04-03drm/i915/tc: Move TC port fields to a new intel_tc_port structImre Deak4-289/+335
2023-04-03drm/i915/tc: Use the tc_phy prefix for all TC PHY functionsImre Deak1-15/+15
2023-04-03drm/i915/tc: Rename tc_phy_status_complete() to tc_phy_is_ready()Imre Deak1-12/+12
2023-04-03drm/i915/tc: Use the adlp prefix for ADLP TC PHY functionsImre Deak1-9/+9
2023-04-03drm/i915/tc: Group the TC PHY setup/query functions per platformImre Deak1-114/+130
2023-04-03drm/i915/dsc: Add debugfs entry to validate DSC output formatsSwati Sharma5-2/+87
2023-04-03drm/i915/vdsc: Check slice design requirementSuraj Kandpal1-0/+32
2023-04-03drm/i915/dsc: Fill in native_420 fieldSuraj Kandpal3-16/+100
2023-04-03drm/i915/dsc: Enable YCbCr420 for VDSCSuraj Kandpal3-15/+180
2023-04-03drm/i915/dsc: Adding the new registers for DSCSuraj Kandpal1-0/+28
2023-04-03drm/i915/dp: Check if DSC supports the given output_formatAnkit Nautiyal1-0/+28
2023-03-31drm/i915: Skip cursor when writing PLANE_CHICKENVille Syrjälä1-1/+5