summaryrefslogtreecommitdiff
path: root/env
diff options
context:
space:
mode:
Diffstat (limited to 'env')
-rw-r--r--env/Makefile4
-rw-r--r--env/attr.c3
-rw-r--r--env/callback.c3
-rw-r--r--env/common.c3
-rw-r--r--env/eeprom.c3
-rw-r--r--env/embedded.c3
-rw-r--r--env/env.c3
-rw-r--r--env/ext4.c3
-rw-r--r--env/fat.c3
-rw-r--r--env/flags.c3
-rw-r--r--env/flash.c3
-rw-r--r--env/mmc.c3
-rw-r--r--env/nand.c3
-rw-r--r--env/nowhere.c3
-rw-r--r--env/nvram.c3
-rw-r--r--env/onenand.c3
-rw-r--r--env/remote.c3
-rw-r--r--env/sata.c3
-rw-r--r--env/sf.c3
-rw-r--r--env/ubi.c3
20 files changed, 20 insertions, 41 deletions
diff --git a/env/Makefile b/env/Makefile
index 7ce8231d9a..fa635c8082 100644
--- a/env/Makefile
+++ b/env/Makefile
@@ -1,9 +1,7 @@
+# SPDX-License-Identifier: GPL-2.0+
#
# (C) Copyright 2004-2006
# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
-#
-# SPDX-License-Identifier: GPL-2.0+
-#
obj-y += common.o env.o
diff --git a/env/attr.c b/env/attr.c
index f965b4bbb6..a958c71482 100644
--- a/env/attr.c
+++ b/env/attr.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2012
* Joe Hershberger, National Instruments, joe.hershberger@ni.com
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#ifdef USE_HOSTCC /* Eliminate "ANSI does not permit..." warnings */
diff --git a/env/callback.c b/env/callback.c
index be70980f04..54d2de4a96 100644
--- a/env/callback.c
+++ b/env/callback.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2012
* Joe Hershberger, National Instruments, joe.hershberger@ni.com
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/common.c b/env/common.c
index 8f602de9d2..dc8a14f519 100644
--- a/env/common.c
+++ b/env/common.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/eeprom.c b/env/eeprom.c
index 63842d6ff3..ac2689cc14 100644
--- a/env/eeprom.c
+++ b/env/eeprom.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/embedded.c b/env/embedded.c
index 9b0a6a3c3d..b1090e90e5 100644
--- a/env/embedded.c
+++ b/env/embedded.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2001
* Erik Theisen, Wave 7 Optics, etheisen@mindspring.com.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <linux/kconfig.h>
diff --git a/env/env.c b/env/env.c
index 90d65974d0..5c0842ac07 100644
--- a/env/env.c
+++ b/env/env.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* Copyright (C) 2017 Google, Inc
* Written by Simon Glass <sjg@chromium.org>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/ext4.c b/env/ext4.c
index f4cb28570c..7626784ca6 100644
--- a/env/ext4.c
+++ b/env/ext4.c
@@ -1,3 +1,4 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (c) Copyright 2016 by VRT Technology
*
@@ -15,8 +16,6 @@
* EXT4 filesystem implementation in Uboot by
* Uma Shankar <uma.shankar@samsung.com>
* Manjunatha C Achar <a.manjunatha@samsung.com>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/fat.c b/env/fat.c
index befbc1efdd..5e5b1efe89 100644
--- a/env/fat.c
+++ b/env/fat.c
@@ -1,10 +1,9 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (c) Copyright 2011 by Tigris Elektronik GmbH
*
* Author:
* Maximilian Schwerin <mvs@tigris.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/flags.c b/env/flags.c
index 4b0ddb6bb8..79dccc05fe 100644
--- a/env/flags.c
+++ b/env/flags.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2012
* Joe Hershberger, National Instruments, joe.hershberger@ni.com
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <linux/string.h>
diff --git a/env/flash.c b/env/flash.c
index ccade77ce3..32236c716e 100644
--- a/env/flash.c
+++ b/env/flash.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
-
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/mmc.c b/env/mmc.c
index bf7015cd14..5e3da6dca7 100644
--- a/env/mmc.c
+++ b/env/mmc.c
@@ -1,7 +1,6 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2008-2011 Freescale Semiconductor, Inc.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/nand.c b/env/nand.c
index 904f1c40d6..aecf445c21 100644
--- a/env/nand.c
+++ b/env/nand.c
@@ -1,3 +1,4 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
@@ -10,8 +11,6 @@
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/nowhere.c b/env/nowhere.c
index f654883c8a..ea6c32eb3b 100644
--- a/env/nowhere.c
+++ b/env/nowhere.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
-
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/nvram.c b/env/nvram.c
index 7cc62b631e..df1b37913d 100644
--- a/env/nvram.c
+++ b/env/nvram.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
-
- * SPDX-License-Identifier: GPL-2.0+
*/
/*
diff --git a/env/onenand.c b/env/onenand.c
index 10a8cccbe8..d371bd757c 100644
--- a/env/onenand.c
+++ b/env/onenand.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2010 DENX Software Engineering
* Wolfgang Denk <wd@denx.de>
*
* (C) Copyright 2005-2009 Samsung Electronics
* Kyungmin Park <kyungmin.park@samsung.com>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/remote.c b/env/remote.c
index 379d0eb1bb..b1a7d1a4c1 100644
--- a/env/remote.c
+++ b/env/remote.c
@@ -1,7 +1,6 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2011-2012 Freescale Semiconductor, Inc.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/sata.c b/env/sata.c
index c3e530eb31..e5715e6d51 100644
--- a/env/sata.c
+++ b/env/sata.c
@@ -1,7 +1,6 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2010-2016 Freescale Semiconductor, Inc.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/sf.c b/env/sf.c
index 6326b37e46..7f7f731992 100644
--- a/env/sf.c
+++ b/env/sf.c
@@ -1,3 +1,4 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
@@ -6,8 +7,6 @@
* Andreas Heppel <aheppel@sysgo.de>
*
* (C) Copyright 2008 Atmel Corporation
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
#include <dm.h>
diff --git a/env/ubi.c b/env/ubi.c
index d4f4901ac4..d28247b34d 100644
--- a/env/ubi.c
+++ b/env/ubi.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (c) Copyright 2012 by National Instruments,
* Joe Hershberger <joe.hershberger@ni.com>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>