summaryrefslogtreecommitdiff
path: root/drivers/clk
AgeCommit message (Expand)AuthorFilesLines
2014-03-21clk: shmobile: add CPG driver for rz-platformsWolfram Sang2-0/+104
2014-03-21clk: turn rate change failed warning into pr_debugSascha Hauer1-1/+1
2014-03-20dt-bindings: clock: Move exynos-audss-clk.h to dt-bindings/clockTushar Behera1-1/+1
2014-03-20clk: respect the clock dependencies in of_clk_initGregory CLEMENT1-4/+79
2014-03-20clk: mpc85xx: Update the driver to align to new clock bindingsTang Yuantian1-22/+48
2014-03-20clk: at91: optimization of the set_rate callbackJean-Jacques Hiblot1-30/+8
2014-03-20clk: at91: fix programmable clk irq handlingJean-Jacques Hiblot2-93/+89
2014-03-20clk: at91: propagate rate change on system clksBoris BREZILLON1-1/+1
2014-03-20clk: at91: replace prog clk round_rate with determine_rateBoris BREZILLON1-28/+28
2014-03-19Merge tag 'clk-hisi' of https://git.kernel.org/pub/scm/linux/kernel/git/hzhua...Mike Turquette6-39/+129
2014-03-19clk: sunxi: fix thinko in commentEmilio López1-1/+1
2014-03-19clk: sunxi: fix some calculationsEmilio López1-3/+3
2014-03-19clk: sunxi: fix A20 PLL4 calculationEmilio López1-0/+7
2014-03-19Merge tag 'sunxi-clk-for-3.15' of https://bitbucket.org/emiliolopez/linux int...Mike Turquette1-25/+265
2014-03-19clk: hisi: remove static variableHaojian Zhuang4-42/+72
2014-03-19clk: hip04: add clock driverHaojian Zhuang3-1/+59
2014-03-19clk: hisi: assign missing clk to tableHaojian Zhuang1-0/+2
2014-03-19clk: socfpga: Fix section mismatch warningDinh Nguyen1-1/+1
2014-03-19Merge tag 'clk-mvebu-3xx-3.15-2' of git://git.infradead.org/linux-mvebu into ...Mike Turquette1-1/+24
2014-03-19clk: clk-s2mps11: Add support for clocks in S5M8767 MFDTushar Behera2-2/+9
2014-03-19clk: clk-s2mps11: Refactor for including support for other MFD clocksTushar Behera1-4/+16
2014-03-19clk: add MOXA ART SoCs clock driverJonas Jensen2-0/+98
2014-03-17ARM: zynq: Move of_clk_init from clock driverMichal Simek1-2/+0
2014-03-14clk: mvebu: Support Armada 380 SoC on the core divider clockEzequiel Garcia1-0/+23
2014-03-14clk: mvebu: Fix ratio register offset on A375 SoCEzequiel Garcia1-1/+1
2014-03-14mfd: omap-usb-host: Get clocks based on hardware revisionRoger Quadros1-4/+0
2014-03-14CLK: TI: OMAP4/5/DRA7: Remove gpmc_fck from dummy clocksFlorian Vaussard3-3/+0
2014-03-08Merge tag 'clk-fixes-for-linus' of git://git.linaro.org/people/mike.turquette...Linus Torvalds1-2/+34
2014-03-06clk: shmobile: rcar-gen2: Use kick bit to allow Z clock frequency changeBenoit Cousson1-2/+34
2014-03-06Merge tag 'armsoc/for-3.15/drivers' of git://github.com/broadcom/mach-bcm int...Arnd Bergmann8-0/+2642
2014-02-27Merge branch 'clk-fixes' into clk-nextMike Turquette2-1/+4
2014-02-27clk: ti/divider: fix rate calculation for fractional ratesTomi Valkeinen1-4/+4
2014-02-27clk: divider: fix rate calculation for fractional ratesTomi Valkeinen1-5/+5
2014-02-27clk:at91: Fix memory leak in of_at91_clk_master_setup()Masanari Iida1-1/+1
2014-02-27clk: axi-clkgen: Add support for v2Lars-Peter Clausen1-43/+269
2014-02-27clk: hisilicon: add hi3620_mmc_clksZhangfei Gao1-0/+274
2014-02-27clk: socfpga: Support multiple parents for the pll clocksDinh Nguyen1-4/+22
2014-02-27clk: socfpga: Fix integer overflow in clock calculationDinh Nguyen1-3/+5
2014-02-26clk: nomadik: fix multiplatform problemLinus Walleij1-0/+3
2014-02-26ARM: integrator: localize the impd1.h headerLinus Walleij1-2/+4
2014-02-26clk: zynq: Use clk_readl/clk_writel helper functionMichal Simek2-11/+11
2014-02-25clk: shmobile: div6: use proper description in kernel docWolfram Sang1-1/+1
2014-02-25Merge branch 'clk-fixes' into clk-nextMike Turquette14-89/+107
2014-02-25clk: ti: am335x: remove unecessary cpu0 clk nodeNishanth Menon1-1/+0
2014-02-25clk: Correct handling of NULL clk in __clk_{get, put}Sylwester Nawrocki1-6/+7
2014-02-25clk: return probe defer when DT clock not yet readyJean-Francois Moine2-1/+3
2014-02-25clk: add pr_debug & kerneldoc around clk notifiersMike Turquette1-1/+4
2014-02-25Merge branch 'clk-tegra-more-fixes-3.14' of git://nv-tegra.nvidia.com/user/pd...Mike Turquette7-31/+45
2014-02-24clk: bcm281xx: don't disable unused peripheral clocksAlex Elder1-1/+1
2014-02-24clk: bcm281xx: add initial clock framework supportAlex Elder8-0/+2642