summaryrefslogtreecommitdiff
path: root/drivers/staging/r8188eu/hal
AgeCommit message (Expand)AuthorFilesLines
2022-03-18staging: r8188eu: remove unnecessary memset in r8188euWan Jiabing1-1/+0
2022-03-16staging: r8188eu: make rtl8188e_process_phy_info staticMartin Kaiser1-1/+1
2022-03-16staging: r8188eu: remove unnecessary initializationsMartin Kaiser1-4/+0
2022-03-14staging: r8188eu: remove comments in update_recvframe_attrib_88eMartin Kaiser1-26/+22
2022-03-14staging: r8188eu: remove unnecessary castsMartin Kaiser1-18/+18
2022-03-14staging: r8188eu: don't initialize drvinfo_sz to 0Martin Kaiser1-2/+0
2022-03-14staging: r8188eu: summarize declaration and assignmentMartin Kaiser1-3/+1
2022-03-14staging: r8188eu: remove tmp variable in update_recvframe_attrib_88eMartin Kaiser1-30/+22
2022-03-14staging: r8188eu: remove unused fields in struct recv_bufAbdun Nihaal1-1/+0
2022-03-14staging: r8188eu: remove unneeded field pbufAbdun Nihaal1-5/+1
2022-03-14staging: r8188eu: remove unused field pdataAbdun Nihaal2-11/+0
2022-03-14staging: r8188eu: remove unused field pendAbdun Nihaal2-3/+0
2022-03-14staging: r8188eu: remove unused field ptailAbdun Nihaal2-3/+0
2022-03-14staging: r8188eu: remove unused field pheadAbdun Nihaal2-3/+0
2022-03-14staging: r8188eu: remove unused fields in struct recv_bufAbdun Nihaal2-8/+0
2022-03-14staging: r8188eu: remove unused field recvbuf_lockAbdun Nihaal1-1/+0
2022-03-14staging: r8188eu: remove unused field listAbdun Nihaal1-1/+0
2022-03-14staging: r8188eu: mark rtl8188eu_xmitframe_complete as boolVihas Makwana1-1/+1
2022-03-02staging: r8188eu: use ieee80211 helper for qos bitMartin Kaiser1-1/+1
2022-03-02staging: r8188eu: remove _linked_rx_signal_strength_display()Michael Straube1-13/+0
2022-02-25staging: r8188eu: EepromAddressSize is set but not usedMichael Straube2-18/+0
2022-02-25staging: r8188eu: remove redundant variable reg_0x143Colin Ian King1-2/+1
2022-02-25staging: r8188eu: remove unused variable from UpdateHalRAMask8188EUsbPhillip Potter1-6/+0
2022-02-21staging: r8188eu: remove ODM_CmnInfoUpdate()Michael Straube2-22/+3
2022-02-21staging: r8188eu: convert type of pBandWidth in odm_dm_structMichael Straube1-1/+1
2022-02-21staging: r8188eu: remove enum odm_bwMichael Straube1-2/+2
2022-02-21staging: r8188eu: convert two u8 variables to boolMichael Straube1-2/+2
2022-02-21staging: r8188eu: remove ODM_CmnInfoHook()Michael Straube2-37/+7
2022-02-21staging: r8188eu: NumQryPhyStatusOFDM is set but not usedMartin Kaiser1-2/+0
2022-02-21staging: r8188eu: NumQryPhyStatusCCK is set but not usedMartin Kaiser1-1/+0
2022-02-21staging: r8188eu: RxSNR is set but not usedMartin Kaiser1-1/+0
2022-02-21staging: r8188eu: RxMIMOSignalQuality is set but not usedMartin Kaiser1-6/+0
2022-02-17staging: r8188eu: fix lines modified by DBG_88E cleanupPhillip Potter9-78/+30
2022-02-17staging: r8188eu: remove rtw_debug module parameterPhillip Potter1-6/+0
2022-02-17staging: r8188eu: remove DBG_88E calls from hal subdirPhillip Potter9-131/+9
2022-02-17staging: r8188eu: rename CCKSwingTable_Ch1_Ch13Michael Straube2-2/+2
2022-02-17staging: r8188eu: bCCKinCH14 is read-onlyMichael Straube2-48/+4
2022-02-17staging: r8188eu: remove unused enumMichael Straube1-5/+0
2022-02-15staging: r8188eu: remove empty function _InitOperationModeAbdun Nihaal1-5/+0
2022-02-15staging: r8188eu: we only need one struct bb_reg_def for path aMartin Kaiser2-39/+21
2022-02-15staging: r8188eu: remove path parameter from phy_RFSerialReadMartin Kaiser1-13/+5
2022-02-15staging: r8188eu: remove path parameter from rtl8188e_PHY_QueryRFRegMartin Kaiser3-8/+6
2022-02-15staging: r8188eu: remove path parameter from phy_RFSerialWriteMartin Kaiser1-3/+2
2022-02-15staging: r8188eu: remove path parameter from rtl8188e_PHY_SetRFRegMartin Kaiser5-23/+21
2022-02-15staging: r8188eu: remove ishighspeed from dvobj_privMartin Kaiser2-4/+4
2022-02-15staging: r8188eu: remove unused enumMichael Straube1-8/+0
2022-02-15staging: r8188eu: remove useless if elseJiapeng Chong1-5/+1
2022-02-08staging: r8188eu: in_cta_test is always 0Martin Kaiser1-14/+2
2022-02-08staging: r8188eu: remove debug code to bypass IQ calibrationMartin Kaiser1-5/+0
2022-02-08staging: r8188eu: remove debug code to bypass LC calibrationMartin Kaiser1-5/+0